AutoIndent Behaviour

Discussion about CodeLite development process and patches
tjulian
CodeLite Enthusiast
Posts: 32
Joined: Tue Nov 11, 2008 12:06 am
Contact:

AutoIndent Behaviour

Post by tjulian »

AutoIndent behaviour when 'Enter' is pressed in column 0 doesn't work right, in my opinion.

For example, in this sample:

Code: Select all

{
    if (x) {
        statement1;
        statement2;
        statement3;
    }
}
If 'Enter' is pressed in column 0 of statement2, CL autoindents to this:

Code: Select all

{
    if (x) {
        statement1;

statement2;
        statement3;
    }
}
Is this expected behaviour?
--tim
OLiverr
CodeLite Enthusiast
Posts: 13
Joined: Sun Jan 18, 2009 9:30 pm
Location: Thüringen (Germany)
Contact:

Re: AutoIndent Behaviour

Post by OLiverr »

In addition, tt would be also nice when there would be an indentation after ifs and fors without braces, e.g. :

Code: Select all

it(x == 10)
dothisandthat; // <--- no indentation here

while(true)
dothisandthat; 
Post Reply